​汽车SoC将被重塑,IP迎来新变局

​汽车SoC将被重塑,IP迎来新变局-创新湾

本文来自微信公众号:半导体行业观察(ID:icbank),作者:杜芹,题图:网络。

随着新能源汽车和自动驾驶汽车时代的来临,诞生了ADAS/AD、汽车互联以及V2X等新应用,这些给汽车架构带来了新的应用方向,随着架构的演变,也对汽车SoC提出了新的需求,现在业界认为,一种新的架构将重塑汽车SoC的布局。在这样的大变局下,汽车级IP又将起到怎样的作用呢?

汽车ZONAL架构出现,汽车IP或将提供助力

现在汽车所需要的传感器数量和类型越来越多,包括成像、激光雷达和红外等各种传感器,去年谷歌的Waymo就使用了29个摄像头;此外这些新应用对SoC级别的功能安全要求也大幅提高;再者越来越多的人工智能开始应用于车载领域,譬如带有人工智能的BEV/HEV动力系统SoC,而新的智能传感器也增加了传感器SoC设计的难度和复杂度。传感器数量增多,集成度变高,就需要更高的计算处理能力。

与此同时,汽车架构也迎来了新的应用方向,主要有三大块:一是ADAS;二是车载娱乐和车互联等;三是汽车网关。这几方面的应用侧重点也有所不同。ADAS对传感器的数量、AI推理能力以及图像处理等性能的要求较高,所以无论是制程方面还是规格上的要求都是最高的,例如现在一些车规处理器已经由16nm开始像7nm和5nm过渡。车载娱乐由于需要承载流畅的用户操作、显示交互,性能要求同样在不断增大,甚至也在逐渐引入更多一些AI处理,导致在某些前提下,车载娱乐芯片甚至可以替代一些ADAS芯片。而汽车网关则对性能和处理方面没有ADAS那么高,更侧重网络数据的汇聚和分发,对优先级和实时性有很高的要求。

业界认为,一种新的汽车架构正在重塑汽车SoC的布局。过去的汽车架构分部相对较离散,每辆汽车上约有30-100个ECU做各式各样的电子操控,并没有统一的管理机制优化各部件的性能。后来随着性能的需求增高,只通过增加ECU已经不太现实,于是现在开始越来越多的进行ECU的功能集成,也称之为Domain 逻辑架构,以此来合理的控制ECU的分布和数量。但是这种方式也相对比较离散,因为其对于整车的布局布线并不是很有利。行业认为未来将演化出一种Zonal物理分区的架构,它不再以逻辑功能来划分,而是以车的某一个区域来划分,有多个中央处理芯片互联互通,更好的做数据处理,而且分区域的划分方式将离散的ECU能更好的融合,在电源管理方面也可以做集中式管理。

​汽车SoC将被重塑,IP迎来新变局-创新湾

图片来源:新思科技

而新的电子架构则要求集成计算和智能传感器架构的重新设计,需要传感器之间的融合,提高连接和可升级性,还需要有最高的可靠性、安全性和能效比。在这其中,一个好的汽车IP或将会起到很重要的作用。

汽车IP主要包括接口IP、存储IP、处理操作IP以及安全IP。细分开来看,在接口IP方面,目前主流的是1Gbps的Ethernet TSN,未来汽车以太网将迁移到2.5Gb、5Gb或10Gb,MIPI联盟开发长达15米的渠道A-PHY,汽车SerDes联盟(ASA)定义了15m高速非对称点-2点通信,高达13Gbps,相互竞争的同时,推动长距离高速数据传输接口的演进。在显示器中主要使用MIPI D-PHY,像无后视镜显示这样的新应用中MIPI DSI是理想选择。在存储IP方面,正在由LPDDR4向LPDDR5演进,目前LPDDR4 3200mbps是最流行的,在N7/N5将移动到LPDDR5 6400mbps。在处理IP方面,嵌入式视觉处理器和DSP、功能安全处理器(ASIL BASIL D)、SoC-level安全架构都在不断提升,工艺技术从28纳米向16 /14纳米8 /7纳米5纳米迁移。保密安全方面的要求也在不断提高,首先要符合ISO 26262,而且越来越需要ASIL D,还要使用SoC级安全管理器。

​汽车SoC将被重塑,IP迎来新变局-创新湾

图片来源:新思科技

新思科技的汽车级IP让SoC车规认证无忧

新思科技的汽车级数模混合AMS IP被欧洲、北美和日本的32家主要半导体公司选中,在AEC-Q100 Grade 1级别方面的支持,甚至对PPA几乎没有影响。新思也是第一家推出ASIL D双核lockstep处理器和安全管理器架构的公司,在汽车质量管理系统流程要求下,研发IP时充分考虑了硬件随机故障、AEC Q100可靠性测试等。

据新思介绍,其汽车级IP通过功能安全、可靠性和质量三大方面来降低风险,加速汽车SoC认证。在功能安全方面,可以加速ISO 26262功能安全评估,以确保设计师达到ASIL目标水平。通过增加IP可靠性,新思的汽车级IP可减少SoC AEC-Q100认证的风险和开发时间。

“安全关键”系统的设计必须将灾难性故障的风险降到最低,并以可预测的方式对故障作出反应。因此,汽车安全完整性等级(ASIL)按照指定潜在风险,分为QM(最低)到D(最高)等多个等级。所有这些系统中的IC必须满足ISO 26262功能安全要求。新思的 IP产品支持ASIL各个级别,以满足客户的应用。

​汽车SoC将被重塑,IP迎来新变局-创新湾

图片来源:新思科技

为了满足ASIL的各个级别,新思为DesignWare汽车IP添加了多种特定的安全机制。

首先是在保护层面,例如一些用户界面的保护、缓冲保护点、错误检测码、奇偶校验保护数据、配置寄存器的奇偶校验保护、内存保护等等。还有安全冗余层面的保护。还有更进一步的寄存器连接、关键模块的有效性检查、处理器双核Lockstep支持等的安全保护。

新思的IP FuSa在开发的整个流程中都有ISO 26262的定义,遵循ASIL系统流程,最高可达到ASIL D的系统级别。这样的IP将减轻SoC级别对ASIL的认证,同时新思也在不断持续改进流程,在开发阶段他们的IP可对安全关键操作的知识产权开发进行新的监测和评估,提高新工作产品和可交付成果。其ARC处理器和接口控制器IP适用于所有ASIL兼容的汽车IP。

​汽车SoC将被重塑,IP迎来新变局-创新湾

图片来源:新思科技

新思科技的整个IP事业部获得了ISO 26262开发流程认证,这就意味着他们可以修订功能安全开发流程要求。再者,通过第三方认证,满足ISO 26262的所有适用要求。可以根据ISO 26262 ASIL [B|C|D]兼容产品的修订开发流程开发和审核汽车知识产权产品,使汽车客户能够满足ISO 26262 ASIL兼容产品的ASIL D系统和随机要求。

在ADAS领域,新思科技的汽车“Safe与Secure“的架构可以称为一个可信的SoC执行环境。下图所示的,紫色部分是新思科技的IP,绿色部分是safety网络,蓝色部分是带有Fusa认证开发的可信软件环境。通过绿色的safety bus和safety manager连接整个SoC的各个功能IP,在接口IP上,再通过STAR Memory & Hierarchical系统工具去负载各个控制器,来提供实时评估、测试所有存储器,逻辑和模拟/混合信号电路等功能。

​汽车SoC将被重塑,IP迎来新变局-创新湾

图片来源:新思科技

​汽车SoC将被重塑,IP迎来新变局-创新湾

新思科技已经定义了基于多个汽车约定的内部参考温度配置文件

新思科技的SG已经经过ISO 9001质量认证,SG的质量管理系统能让他们在世界各地的网站上开发DesignWare的知识产权和工具,帮助确保IP质量,降低集成风险,并加快上市时间。不但能增加对知识产权开发过程的信心,客户也不再需要执行第二方质量审核。

结语

ADAS作为增长最快的汽车应用,将以19%的年复合增长率增长。在这样的趋势下,新思科技提供了完善的ISO 26262安全功能集成到汽车知识产权组合,从接口IP到基础IP,处理器IP,以及安全IP,都符合ISO 26262 ASIL B和D标准的处理器和控制器,也符合AEC-Q100设计测试和汽车质量管理,这些都将加速汽车SoC认证。

本内容为作者独立观点,不代表创新湾立场。如有异议,请联系创新湾。创新湾聚焦新科技、新产业,相关内容欢迎投稿。(投稿或转载请联系创新湾小编微信:LinkedBay)

​汽车SoC将被重塑,IP迎来新变局-创新湾

​汽车SoC将被重塑,IP迎来新变局-创新湾

发现中国科技创新的力量

创新湾ID:EnnoBay

您的浏览器不支持 HTML5 canvas 标签。 下载图片